sarakane(@sarakane6090) 's Twitter Profile Photo

よんでる

[SystemVerilog] interface と function を組み合わせると便利ですよ、という話 qiita.com/taichi-ishitan… いしたにより

account_circle
じがへるつ(工房)(@10mozet) 's Twitter Profile Photo

SystemVerilogでFPGA使う本書きたいけど、TLのつよつよFPGAマンからマサカリ飛んできそう

account_circle
efabless.com(@efabless) 's Twitter Profile Photo

A blog from Antmicro providing an update on the progress in open-source SystemVerilog / UVM support in Verilator - an open-source tool for the ASIC design space. bit.ly/3iCuYAE

A blog from @antmicro providing an update on the progress in open-source SystemVerilog / UVM support in Verilator - an open-source tool for the ASIC design space. bit.ly/3iCuYAE
account_circle
Vengineer@(@Vengineer) 's Twitter Profile Photo

篠塚さんの「SystemVerilog超入門」の書籍化が決まったようです。
artgraphics.co.jp/technology.html

篠塚さんの「SystemVerilog超入門」の書籍化が決まったようです。
artgraphics.co.jp/technology.html
account_circle
錦木千束(@Cra2yPierr0t) 's Twitter Profile Photo

LRUの回路が複雑とか90年代の話やろ!w 俺にはSystemVerilogとVerilatorがあるんだが???とかイキって実装してみたら全然複雑になった、カス

account_circle
Masahiro Hiramori(@mshrh3) 's Twitter Profile Photo

Released v1.11.5 which fixes Slang and Verilator not working in WSL marketplace.visualstudio.com/items?itemName…

account_circle
Palle Ravn(@palle_ravn) 's Twitter Profile Photo

Open source is great, but for SystemVerilog simulation I gravitated towards xsim. Xsim, and Vivado in general, is so slow it's unbearable.
Then I tried ModelSim free from microchip.com and now have it integrated with cocotb.org, exciting stuff.

Open source is great, but for SystemVerilog simulation I gravitated towards xsim. Xsim, and Vivado in general, is so slow it's unbearable.
Then I tried ModelSim free from microchip.com and now have it integrated with cocotb.org, exciting stuff.
account_circle
Snoopy(@ArtgraphicsKaz) 's Twitter Profile Photo

SystemVerilogには類似の表現が多くありますが、全く同じ意味とは限りません。例えば、'{4,4,4}と{4,4,4}とは意味が異なります。

SystemVerilogには類似の表現が多くありますが、全く同じ意味とは限りません。例えば、'{4,4,4}と{4,4,4}とは意味が異なります。
account_circle
Tom Verbeure(@tom_verbeure) 's Twitter Profile Photo

VeeR (aka SweRV) EH1 RISC-V CPU SystemVerilog to C++ simulation model conversion with Yosys CXXRTL. 23MB cpp file
Compilation with clang++14: 104 seconds.
Compilation with g++11: stopped when it was still running 3 hours later.
g++ doesn't handle 130k lines of templates well.

account_circle
Daniel Payne(@Daniel_J_Payne) 's Twitter Profile Photo

Just added XLS to the list of open source tools, it produces Verilog and SystemVerilog output from a high level input. semiwiki.com/wikis/industry…

Just added XLS to the list of open source #SemiEDA tools, it produces Verilog and SystemVerilog output from a high level input. semiwiki.com/wikis/industry… #SemiWiki #SemiIP
account_circle
Bryan Murdock(@bdmurdock) 's Twitter Profile Photo

Matt Harrison Basic
TI-85
Pascal
Java
C++
Perl
Bash/ksh
C
Python
Verilog
SystemVerilog

Have toyed with: emacs lisp, awk, Javascript, C#, SQL, probably others I don't remember

Want to learn/use: zig

account_circle
For Christ(@ChristianaAyo) 's Twitter Profile Photo

Show HN: A High-Performance CRC Hardware Generator in Bluespec SystemVerilog ift.tt/FA605N2 ift.tt/yxGFVrB

account_circle
じがへるつ(工房)(@10mozet) 's Twitter Profile Photo

次にあるかもしれない新刊
趣味のSystemVerilog入門

技術書典15に間に合わせたい
そしてこれがたぶん最後です

次にあるかもしれない新刊
趣味のSystemVerilog入門

技術書典15に間に合わせたい
そしてこれがたぶん最後です
account_circle
Wanda 💙(@mwk4) 's Twitter Profile Photo

starting to treat 'SystemVerilog' not as a programming language with a specification, but as a shared universe that a bunch of writers used to write fiction in, and they sort of have the same idea in their heads and agree on the basics, but it gets very fuzzy near the edges

account_circle